python-edalize 0.5.4-2 File List

Package has 266 files and 34 directories.

Back to Package

  • usr/
  • usr/bin/
  • usr/bin/el_docker
  • usr/lib/
  • usr/lib/python3.12/
  • usr/lib/python3.12/site-packages/
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/PKG-INFO
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/SOURCES.txt
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/dependency_links.txt
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/requires.txt
  • usr/lib/python3.12/site-packages/edalize-0.5.4-py3.12.egg-info/top_level.txt
  • usr/lib/python3.12/site-packages/edalize/
  • usr/lib/python3.12/site-packages/edalize/__pycache__/
  • usr/lib/python3.12/site-packages/edalize/__pycache__/apicula.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/apicula.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ascentlint.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ascentlint.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/design_compiler.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/design_compiler.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/diamond.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/diamond.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/edatool.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/edatool.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/f4pga.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/f4pga.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/gatemate.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/gatemate.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/genus.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/genus.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ghdl.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ghdl.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/icarus.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/icarus.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/icestorm.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/icestorm.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ise.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ise.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ise_reporting.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/ise_reporting.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/isim.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/isim.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/libero.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/libero.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/mistral.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/mistral.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/modelsim.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/modelsim.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/morty.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/morty.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/nextpnr.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/nextpnr.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openfpga.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openfpga.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openlane.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openlane.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openroad.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/openroad.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/oxide.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/oxide.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/quartus.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/quartus.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/quartus_reporting.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/quartus_reporting.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/questaformal.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/questaformal.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/radiant.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/radiant.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/reporting.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/reporting.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/rivierapro.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/rivierapro.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/sandpipersaas.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/sandpipersaas.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/slang.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/slang.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/spyglass.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/spyglass.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/symbiflow.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/symbiflow.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/symbiyosys.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/symbiyosys.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/trellis.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/trellis.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/utils.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/utils.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vcs.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vcs.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/veribleformat.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/veribleformat.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/veriblelint.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/veriblelint.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/verilator.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/verilator.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/version.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/version.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vivado.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vivado.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vivado_reporting.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vivado_reporting.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vpr.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vpr.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vunit.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vunit.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vunit_hooks.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/vunit_hooks.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/xcelium.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/xcelium.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/xsim.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/xsim.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/yosys.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/__pycache__/yosys.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/apicula.py
  • usr/lib/python3.12/site-packages/edalize/ascentlint.py
  • usr/lib/python3.12/site-packages/edalize/design_compiler.py
  • usr/lib/python3.12/site-packages/edalize/diamond.py
  • usr/lib/python3.12/site-packages/edalize/edatool.py
  • usr/lib/python3.12/site-packages/edalize/f4pga.py
  • usr/lib/python3.12/site-packages/edalize/flows/
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/edaflow.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/edaflow.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/efinity.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/efinity.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/f4pga.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/f4pga.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/generic.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/generic.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/gls.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/gls.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/icestorm.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/icestorm.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/lint.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/lint.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/sim.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/sim.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/vivado.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/vivado.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/vpr.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/__pycache__/vpr.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/flows/edaflow.py
  • usr/lib/python3.12/site-packages/edalize/flows/efinity.py
  • usr/lib/python3.12/site-packages/edalize/flows/f4pga.py
  • usr/lib/python3.12/site-packages/edalize/flows/generic.py
  • usr/lib/python3.12/site-packages/edalize/flows/gls.py
  • usr/lib/python3.12/site-packages/edalize/flows/icestorm.py
  • usr/lib/python3.12/site-packages/edalize/flows/lint.py
  • usr/lib/python3.12/site-packages/edalize/flows/sim.py
  • usr/lib/python3.12/site-packages/edalize/flows/vivado.py
  • usr/lib/python3.12/site-packages/edalize/flows/vpr.py
  • usr/lib/python3.12/site-packages/edalize/gatemate.py
  • usr/lib/python3.12/site-packages/edalize/genus.py
  • usr/lib/python3.12/site-packages/edalize/ghdl.py
  • usr/lib/python3.12/site-packages/edalize/icarus.py
  • usr/lib/python3.12/site-packages/edalize/icestorm.py
  • usr/lib/python3.12/site-packages/edalize/ise.py
  • usr/lib/python3.12/site-packages/edalize/ise_reporting.py
  • usr/lib/python3.12/site-packages/edalize/isim.py
  • usr/lib/python3.12/site-packages/edalize/libero.py
  • usr/lib/python3.12/site-packages/edalize/mistral.py
  • usr/lib/python3.12/site-packages/edalize/modelsim.py
  • usr/lib/python3.12/site-packages/edalize/morty.py
  • usr/lib/python3.12/site-packages/edalize/nextpnr.py
  • usr/lib/python3.12/site-packages/edalize/openfpga.py
  • usr/lib/python3.12/site-packages/edalize/openlane.py
  • usr/lib/python3.12/site-packages/edalize/openroad.py
  • usr/lib/python3.12/site-packages/edalize/oxide.py
  • usr/lib/python3.12/site-packages/edalize/quartus.py
  • usr/lib/python3.12/site-packages/edalize/quartus_reporting.py
  • usr/lib/python3.12/site-packages/edalize/questaformal.py
  • usr/lib/python3.12/site-packages/edalize/radiant.py
  • usr/lib/python3.12/site-packages/edalize/reporting.py
  • usr/lib/python3.12/site-packages/edalize/rivierapro.py
  • usr/lib/python3.12/site-packages/edalize/sandpipersaas.py
  • usr/lib/python3.12/site-packages/edalize/slang.py
  • usr/lib/python3.12/site-packages/edalize/spyglass.py
  • usr/lib/python3.12/site-packages/edalize/symbiflow.py
  • usr/lib/python3.12/site-packages/edalize/symbiyosys.py
  • usr/lib/python3.12/site-packages/edalize/templates/
  • usr/lib/python3.12/site-packages/edalize/templates/ascentlint/
  • usr/lib/python3.12/site-packages/edalize/templates/ascentlint/Makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/ascentlint/run-ascentlint.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/design_compiler/
  • usr/lib/python3.12/site-packages/edalize/templates/design_compiler/design-compiler-makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/design_compiler/design-compiler-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/genus/
  • usr/lib/python3.12/site-packages/edalize/templates/genus/genus-makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/genus/genus-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/genus/genus-read-sources.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/ghdl/
  • usr/lib/python3.12/site-packages/edalize/templates/ghdl/Makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/libero/
  • usr/lib/python3.12/site-packages/edalize/templates/libero/libero-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/libero/libero-run.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/libero/libero-syn-user.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/openfpga/
  • usr/lib/python3.12/site-packages/edalize/templates/openfpga/task_simulation.conf.j2
  • usr/lib/python3.12/site-packages/edalize/templates/openlane/
  • usr/lib/python3.12/site-packages/edalize/templates/openlane/openlane-makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/openlane/openlane-script-tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/quartus/
  • usr/lib/python3.12/site-packages/edalize/templates/quartus/quartus-pro-makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/quartus/quartus-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/quartus/quartus-std-makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/spyglass/
  • usr/lib/python3.12/site-packages/edalize/templates/spyglass/Makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/spyglass/spyglass-project.prj.j2
  • usr/lib/python3.12/site-packages/edalize/templates/spyglass/spyglass-run-goal.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vcs/
  • usr/lib/python3.12/site-packages/edalize/templates/vcs/Makefile.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vivado/
  • usr/lib/python3.12/site-packages/edalize/templates/vivado/vivado-program.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vivado/vivado-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vivado/vivado-run.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vivado/vivado-synth.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/vunit/
  • usr/lib/python3.12/site-packages/edalize/templates/vunit/run.py.j2
  • usr/lib/python3.12/site-packages/edalize/templates/yosys/
  • usr/lib/python3.12/site-packages/edalize/templates/yosys/edalize_yosys_procs.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/templates/yosys/yosys-script-tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/edatool.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/edatool.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/efinity.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/efinity.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/ghdl.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/ghdl.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icarus.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icarus.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icepack.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icepack.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icetime.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/icetime.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/nextpnr.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/nextpnr.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/sandpipersaas.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/sandpipersaas.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/surelog.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/surelog.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/sv2v.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/sv2v.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/verilator.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/verilator.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/vivado.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/vivado.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/vpr.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/vpr.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/yosys.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/__pycache__/yosys.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/edatool.py
  • usr/lib/python3.12/site-packages/edalize/tools/efinity.py
  • usr/lib/python3.12/site-packages/edalize/tools/ghdl.py
  • usr/lib/python3.12/site-packages/edalize/tools/icarus.py
  • usr/lib/python3.12/site-packages/edalize/tools/icepack.py
  • usr/lib/python3.12/site-packages/edalize/tools/icetime.py
  • usr/lib/python3.12/site-packages/edalize/tools/nextpnr.py
  • usr/lib/python3.12/site-packages/edalize/tools/sandpipersaas.py
  • usr/lib/python3.12/site-packages/edalize/tools/surelog.py
  • usr/lib/python3.12/site-packages/edalize/tools/sv2v.py
  • usr/lib/python3.12/site-packages/edalize/tools/templates/
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/__pycache__/
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/__pycache__/isf_to_xml.cpython-312.opt-1.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/__pycache__/isf_to_xml.cpython-312.pyc
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/isf_to_xml.py
  • usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/newproj_tmpl.xml.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/vivado-netlist.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/vivado-program.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/vivado-project.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/vivado-run.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/vivado/vivado-synth.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/yosys/
  • usr/lib/python3.12/site-packages/edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/templates/yosys/yosys-script-tcl.j2
  • usr/lib/python3.12/site-packages/edalize/tools/verilator.py
  • usr/lib/python3.12/site-packages/edalize/tools/vivado.py
  • usr/lib/python3.12/site-packages/edalize/tools/vpr.py
  • usr/lib/python3.12/site-packages/edalize/tools/yosys.py
  • usr/lib/python3.12/site-packages/edalize/trellis.py
  • usr/lib/python3.12/site-packages/edalize/utils.py
  • usr/lib/python3.12/site-packages/edalize/vcs.py
  • usr/lib/python3.12/site-packages/edalize/veribleformat.py
  • usr/lib/python3.12/site-packages/edalize/veriblelint.py
  • usr/lib/python3.12/site-packages/edalize/verilator.py
  • usr/lib/python3.12/site-packages/edalize/version.py
  • usr/lib/python3.12/site-packages/edalize/vivado.py
  • usr/lib/python3.12/site-packages/edalize/vivado_reporting.py
  • usr/lib/python3.12/site-packages/edalize/vpr.py
  • usr/lib/python3.12/site-packages/edalize/vunit.py
  • usr/lib/python3.12/site-packages/edalize/vunit_hooks.py
  • usr/lib/python3.12/site-packages/edalize/xcelium.py
  • usr/lib/python3.12/site-packages/edalize/xsim.py
  • usr/lib/python3.12/site-packages/edalize/yosys.py
  • usr/share/
  • usr/share/licenses/
  • usr/share/licenses/python-edalize/
  • usr/share/licenses/python-edalize/LICENSE